プラグイン

インラインプラグイン &code

  1
このように、&code(){code inline-plugin};の形でコードを文中に埋め込められる。

このように、code inline-pluginの形でコードを文中に埋め込められる。

ブロックプラグイン #code

  1
  2
  3
  4
#code(){{{
   code
   multi-line block-plugin
}}}
  1
  2
   code
   multi-line block-plugin

ネイティブエイリアス

WikiCodeの可読性を改善するため、コードに関して専用記号「#,」を割り当てている。

  1
「##」で括られたコードは &code() に渡され、インラインプラグインと等価。

「##」で括られたコードは &code() に渡され、インラインプラグインと等価。

  1
  2
  3
  4
###
「###」のみの行で挟まれる部分は #code() に渡され、
ブロックプラグインと等価。
###
  1
  2
「###」のみの行で挟まれる部分は #code() に渡され、
ブロックプラグインと等価。

色付け表示

ブロックプラグインに限り、引数に言語を指定すると言語に応じた色付けが自動的に行われる。

  1
  2
  3
  4
  5
  6
  7
  8
#code(c){{{
    // Sample
    #include<stdio.h>           
    int main(void)
    {
        return 0;
    }
}}}
  1
  2
  3
  4
  5
  6
    // Sample
    #include<stdio.h>           
    int main(void)
    {
        return 0;
    }

ネイティブエイリアスの場合は先頭の「###」に続けて言語を指定できる。

  1
  2
  3
  4
  5
  6
  7
  8
### c
    // Sample
    #include<stdio.h>           
    int main(void)
    {
        return 0;
    }
###
  1
  2
  3
  4
  5
  6
    // Sample
    #include<stdio.h>           
    int main(void)
    {
        return 0;
    }

FORTRAN77, Fortran90

  1
  2
  3
  4
  5
  6
### fortran
      WRITE(*,10)
   10 FORMAT('Hello, World!')   
      STOP
      END
###
  1
  2
  3
  4
      WRITE(*,10)
   10 FORMAT('Hello, World!')   
      STOP
      END
  1
  2
  3
  4
  5
### fortran
program main
    print *,"Hello, World!"     
end program main
###
  1
  2
  3
program main
    print *,"Hello, World!"     
end program main

PHP

  1
  2
  3
  4
  5
  6
### PHP
<?php
    echo 'Hello, world!';       
    exit;
?>
###
  1
  2
  3
  4
<?php
    echo 'Hello, world!';       
    exit;
?>

Ruby

  1
  2
  3
### ruby
    puts "Hello, world!"        
###
  1
    puts "Hello, world!"       

Tex, Latex

  1
  2
  3
  4
  5
  6
### tex
\documentclass{jarticle}        
\begin{document}
    Hello, world!
\end{document}
###
  1
  2
  3
  4
\documentclass{jarticle}        
\begin{document}
    Hello, world!
\end{document}

Vrilog-HDL

  1
  2
  3
  4
  5
  6
  7
  8
  9
### verilog
module string test;
    reg [8*13 -1 : 0] str;
    initial begin
        str = "Hello, world!";  
        $display("%s",str);
    end
endmodule
###
  1
  2
  3
  4
  5
  6
  7
module string test;
    reg [8*13 -1 : 0] str;
    initial begin
        str = "Hello, world!";  
        $display("%s",str);
    end
endmodule

diff, 汎用差分表示

  1
  2
  3
  4
  5
  6
### diff
  no-change line                
+ added   line
- removed line 
! changed line
###
  1
  2
  3
  4
  no-change line                
+ added   line
- removed line 
! changed line
リロード   新規 編集 解凍 差分 添付 複製 改名   鉄道 一覧 検索 最新 バックアップ リンク元   ヘルプ   最終更新のRSS
Last-modified: 2013.0811 (日) 2145.1700 (3909d)